RADAR: A fast and energy-efficient programming technique for multiple bits-per-cell RRAM arrays

Binh Q Le , Akash Levy , Tony F Wu , Robert M Radway
IEEE Transactions on Electron Devices 68 ( 9) 4397 -4403

35
2021
Three-dimensional stacked neural network accelerator architectures for AR/VR applications

Lita Yang , Robert M Radway , Yu-Hsin Chen , Tony F Wu
IEEE Micro 42 ( 6) 116 -124

11
2022
Ultra-Dense 3D Physical Design Unlocks New Architectural Design Points with Large Benefits

Tathagata Srimani , Robert M Radway , Jinwoo Kim , Kartik Prabhu
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE) 1 -6

1
2023
CHIMERA: A 0.92 TOPS, 2.2 TOPS/W edge AI accelerator with 2 MByte on-chip foundry resistive RAM for efficient training and inference

Massimo Giordano , Kartik Prabhu , Kalhan Koul , Robert M Radway
2021 symposium on VLSI circuits 1 -2

50
2021
CHIMERA: A 0.92-TOPS, 2.2-TOPS/W edge AI accelerator with 2-MByte on-chip foundry resistive RAM for efficient training and inference

Kartik Prabhu , Albert Gural , Zainab F Khan , Robert M Radway
IEEE Journal of Solid-State Circuits 57 ( 4) 1013 -1026

29
2022
PBA: Percentile-Based Level Allocation for Multiple-Bits-Per-Cell RRAM

Anjiang Wei , Akash Levy , Pu Yi , Robert M Radway
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 1 -9

1
2023
Thermal Scaffolding for Ultra-Dense 3D Integrated Circuits

Dennis Rich , Anna Kasperovich , Mohamadali Malakoutian , Robert M Radway
2023 60th ACM/IEEE Design Automation Conference (DAC) 1 -6

1
2023
Testbench on a Chip: A Yield Test Vehicle for Resistive Memory Devices

Luke R Upton , Guénolé Lallement , Michael D Scott , Joyce Taylor
2023 24th International Symposium on Quality Electronic Design (ISQED) 1 -7

2023
8-bit Transformer Inference and Fine-tuning for Edge Accelerators

Jeffrey Yu , Kartik Prabhu , Yonatan Urman , Robert M Radway
5 -21

2024
Near junction thermal management of GaN HEMTs via wafer bonding

Robert M Radway
Massachusetts Institute of Technology

1
2017
MINOTAUR: An Edge Transformer Inference and Training Accelerator with 12 MBytes On-Chip Resistive RAM and Fine-Grained Spatiotemporal Power Gating

Kartik Prabhu , Robert M Radway , Y Jeffrey , Kai Bartolone
2024 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) 1 -2

2024
PBA: Percentile-Based Level Allocation for Multiple-Bits-Per-Cell RRAM

Anjiang Wei , Akash Levy , Pu Luke Yi , Robert M Radway

The future of hardware technologies for computing: N3XT 3D MOSAIC, illusion scaleup, co-design

RM Radway , K Sethi , W-C Chen , Jimin Kwon
2021 IEEE International Electron Devices Meeting (IEDM) 25.4. 1 -25.4. 4

15
2021
N3XT 3D Technology Foundations and Their Lab-to-Fab: Omni 3D Logic, Logic+ Memory Ultra-Dense 3D, 3D Thermal Scaffolding

T Srimani , A Bechdolt , S Choi , C Gilardi
2023 International Electron Devices Meeting (IEDM) 1 -4

2023
Foundry Monolithic 3D BEOL Transistor+ Memory Stack: ISO-Performance and Iso-Footprint BEOL Carbon Nanotube FET+ RRAM vs. FEOL Silicon FET+ RRAM

T Srimani , AC Yu , RM Radway , DT Rich
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) 1 -2

3
2023
High-Density Multiple Bits-per-Cell 1T4R RRAM Array with Gradual SET/RESET and its Effectiveness for Deep Learning

ER Hsieh , M Giordano , B Hodson , A Levy
international electron devices meeting 0 -0

8
2019
GaN DEVICES FABRICATED VIA WAFER BONDING

Tomas A. Palacios , Robert M. Radway

2017
Illusion of large on-chip memory by networked computing chips for neural network inference

Edith Beigne , Mary Wootters , Binh Q. Le , Binh Q. Le
Nature Electronics 4 ( 1) 71 -80

2021
Heterogeneous 3D Nano-systems: The N3XT Approach?

Dennis Rich , Andrew Bartolo , Carlo Gilardo , Binh Le
Springer, Cham 127 -151

4
2020
A 43pJ/Cycle Non-Volatile Microcontroller with 4.7 μs Shutdown/Wake-up Integrating 2.3-bit/Cell Resistive RAM and Resilience Techniques

Tony F Wu , Binh Q Le , Robert Radway , Andrew Bartolo
2019 IEEE International Solid-State Circuits Conference-(ISSCC) 226 -228

38
2019